UPDATED 20:40 EDT / DECEMBER 04 2018

EMERGING TECH

Intel looks to quantum materials for the next generation of microprocessors

Researchers at Intel Corp. may have hit upon a replacement for the decades-old technology that has been used in microprocessors, memory chips and other logic circuits since their inception.

The research is important because chips based on complementary metal–oxide–semiconductor technology are nearing the peak of their potential. CMOS chips are based on transistors that connect to each other via switchable semiconductor conductance controlled with an insulating gate.

The technology has served the industry well since the 1960s, but in recent years its also become a limiting factor. It’s getting increasingly difficult to miniaturize the technology in order to pack more transistors onto circuit boards and boost their computing power.

So Intel is looking ahead to new quantum materials that can pick up where CMOS left off, in order to build ever more powerful microprocessors. The company’s researchers say a technology called “scalable energy-efficient magnoelectric spin-orbit logic” might just be the answer.

The so-called MESO technology has the potential to reduce the voltage used in microchips by a factor of five compared with CMOS, and also increase energy efficiency by 10 to 30 times when combined with “ultra-low sleep state power.”

“We are looking for revolutionary, not evolutionary, approaches for computing in the beyond-CMOS era,” Intel’s researchers wrote in a paper in the journal Nature. “MESO is built around low-voltage interconnects and low-voltage magneto-electrics. It brings together quantum materials innovation with computing. We are excited about the progress we have made and are looking forward to future demonstrations of reducing the switching voltage even further toward its potential.”

The researchers have already built a prototype MESO device using magno-electric and quantum materials that were developed by Ramamoorthy Ramesh at the University of California at Berkeley and the Lawrence Berkeley National Laboratory.

More specifically, MESO uses what’s called a multiferroic material at room temperature that produces “spin-orbit transduction effects.” The material is both magnetic — where the atoms are all aligned, as with common permanent magnets — and ferromagnetic, and the two states are coupled together. What that means is that if you change one, you also change the other.

“In MESO devices, an electric field alters or flips the dipole electric field throughout the material, which alters or flips the electron spins that generate the magnetic field,” the researchers said.

Single crystals of the multiferroic material bismuth-iron-oxide. The bismuth atoms (blue) form a cubic lattice with oxygen atoms (yellow) at each face of the cube and an iron atom (gray) near the center. The somewhat off-center iron interacts with the oxygen to form an electric dipole (P), which is coupled to the magnetic spins of the atoms (M) so that flipping the dipole with an electric field (E) also flips the magnetic moment. The collective magnetic spins of the atoms in the material encode the binary bits 0 and 1, and allow for information storage and logic operations.

Single crystals of the multiferroic material bismuth-iron-oxide. The bismuth atoms (blue) form a cubic lattice with oxygen atoms (yellow) at each face of the cube and an iron atom (gray) near the center. The somewhat off-center iron interacts with the oxygen to form an electric dipole (P), which is coupled to the magnetic spins of the atoms (M) so that flipping the dipole with an electric field (E) also flips the magnetic moment. The collective magnetic spins of the atoms in the material encode the binary bits 0 and 1, and allow for information storage and logic operations.

Analyst Holger Mueller of Constellation Research Inc. said Intel’s research was encouraging as it’s necessary for it to keep pushing the boundaries of microprocessors so it can satisfy the demands of next-generation application workloads.

“With MESO Intel has taken a key step forward, allowing multi-state ferroid materials to help create a more efficient computing platform,” Mueller said. “It’s a promising start and now the new technology has to prove itself in practical applications.”

That could still be some way off however. Although the prototype MESO device shows promising results Ramesh told UC Berkeley’s news service that the technology is still in its pre-infancy because much more research is needed and practical devices are still likely at least a decade away.

“There’s still a lot of work to be done,” Ramesh said. “Your computer today operates at 3 volts. This device in the Nature paper proposes something at 100 millivolts. We need to understand the physics a lot better.”

Images: Intel

A message from John Furrier, co-founder of SiliconANGLE:

Your vote of support is important to us and it helps us keep the content FREE.

One click below supports our mission to provide free, deep, and relevant content.  

Join our community on YouTube

Join the community that includes more than 15,000 #CubeAlumni experts, including Amazon.com CEO Andy Jassy, Dell Technologies founder and CEO Michael Dell, Intel CEO Pat Gelsinger, and many more luminaries and experts.

“TheCUBE is an important partner to the industry. You guys really are a part of our events and we really appreciate you coming and I know people appreciate the content you create as well” – Andy Jassy

THANK YOU